CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sine table

搜索资源列表

  1. sin

    0下载:
  2. 用汇编语言编写的正弦信号函数与查表法不同,完全用汇编语言编写-Prepared by using assembly language Sine signal function and a different look-up table method, fully prepared to use assembly language
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:5524
    • 提供者:赵娜娜
  1. 111

    0下载:
  2. 用c语言基于查表法生成正弦波,联系51等硬件可以具体实现-C language used to generate look-up table method based on sine wave, contact 51 can be hardware such as concrete realization
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1410
    • 提供者:yzhpeng
  1. SinewaveCodeGenerationProcess

    0下载:
  2. 正弦波代码生成程序,用来生成单片机等做正弦波产生时的代码表!-Sinusoidal code generation process, used to generate single-chip generated sine wave and so on to do when the code table!
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:941930
    • 提供者:zhenhua
  1. fft

    1下载:
  2. 2007年全国大学生电子设计大赛,A题音频信号分析仪的全部源程序。 单片机为c8051f120,系统时钟倍频至96MHZ。 main.c是一个包含五级菜单的主函数。 FFT.C是进行fft变换,将时域信号变换成频域信号。 flash.c是读写片外flash存储器的程序,可以将分析结果保存,以备调用. z7290.c是周立功7290键盘芯片的驱动程序. FFT_xgjis.c是对变换后的频谱进行分析和运算. FFT_CodeTable.c是一个正弦表,在F
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-10-12
    • 文件大小:36057
    • 提供者:王渔阳
  1. filter

    0下载:
  2. 数控振荡器用于产生可控的正弦波或余弦波。其实现的方法是查表法-CNC controlled oscillator used to generate the sine or cosine wave. Approach is the realization of look-up table method
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-23
    • 文件大小:135331
    • 提供者:司令
  1. sin51

    0下载:
  2. 51单片机产生正弦波 下列数据是我计算出来的正弦函数在0~90度区间的数值(0~128),可以用于8位DA输出,把这个数据表存 进去查表就行了,其他角度做点儿简单的计算就行了。-51 single-chip generated sine wave data is the following, I calculated the sine function in the 0 ~ 90 degrees of numerical range (0 ~ 128), can be used for
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:980
    • 提供者:yangziyan
  1. vec_table

    0下载:
  2. 使用查表法实现正弦波的产生,用于CCS仿真,汇编-Sine look-up table method used to achieve the production of CCS for simulation, compilation
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:3697
    • 提供者:袁昊
  1. SINV1.4

    0下载:
  2. 计算正弦余弦表,可用作数字合成时的正弦表(DDS)-Calculate sine cosine table can be used as DDS
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:7936
    • 提供者:xumin
  1. tone

    0下载:
  2. This example uses the AIC23 codec module of the 6713 DSK Board Support Library to generate a 1KHz sine wave on the audio outputs for 5 seconds.The sine wave data is pre-calculated in an array called sinetable. The codec operates at 48KHz by default.
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:117666
    • 提供者:xpert
  1. renyiboxing

    0下载:
  2. 信号发生器是一种常用的仪器,能够实现各种波形,不同频率的输出,电子测试系统的重要部件。本研究 的数字信号发生器足基于直接数字合成即DDS技术设计的,采用VHDL与C语言相结合的方法,通过查找存储 于ROM查找表中的各种标准波形数据,产牛频率Hf调并且高精度的正弦波、方波、锯齿波等常用信号,并且町 以通过修改表中的数据,实现任意信号发生器-Signal generator is a commonly used instrument to achieve a variety of wav
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:268299
    • 提供者:姚木
  1. fpga

    0下载:
  2. 采用正弦/ 余弦细分方案,通过嵌入cos/ sin 表格于FPGA 中,合理控制步进电机两相绕组的电流,实现斩波恒流均匀细分驱动,减小了步距角、提高了步进分辨率,最高细分达到256 。-Using sine/cosine segment program, by embedding cos/sin table in the FPGA, the reasonable control of two-phase stepper motor winding current to achieve const
  3. 所属分类:Project Manage

    • 发布日期:2017-03-29
    • 文件大小:233713
    • 提供者:邓志远
  1. 18

    0下载:
  2. 汇编语言产生的查表法实现正弦波,锯齿波,方波,三角波发生器的程序-Assembly language generated by look-up table to achieve a sine wave, sawtooth wave, square wave, triangle wave generator program
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:861
    • 提供者:Tr
  1. sin

    0下载:
  2. 在51单片机中实现正弦波的发生。采用查表的方法实现。产生5HZ的正弦波。-51 SCM in place to implement a sine wave. Achieved using look-up table method. Sine wave generated 5HZ.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:746
    • 提供者:yinxiong
  1. C_and_Matlab_voice_output_c8051f330_DAC

    0下载:
  2. 利用C8051f330的DAC范例程序,实现语音输出;demo源程序做了小小的改动,再把语音数据填入原来的正弦表格;语音数据来源于wav文件,Matlab重新采样转换为C语言的0x**格式,导入TXT文件;ps:这个程序在我的baidu空间也有;huxuec-20101024-The DAC sample programs using C8051f330, voice output demo source code to do a minor change, then the sine of
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:5826
    • 提供者:huxuec
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. ROM

    0下载:
  2. Verilog sine的查找表,相信大家会用到-Verilog sine lookup table, I believe we will use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3277
    • 提供者:wuzhongpeng
  1. niub

    0下载:
  2. 正弦函数表的设计做正弦波发生器的也许能用到-Design of the sine function table can be used to do, perhaps, to the sine wave generator
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:3060
    • 提供者:微臣
  1. vibrating-rotator-experiment

    0下载:
  2. 用于高校学生 转子振动台轴跳动轨迹分析仿真实验。采用正交的具有相位差的两列正弦波来模拟传感器测到的X方向和Y方向的轴跳动。包括信号的时频分析,滤波处理,叠加还原和边界检验。参数可自定-For college students beat the rotor shaft vibration table trajectory analysis simulation. With orthogonal phase difference of two sine waves to simulate the s
  3. 所属分类:Education soft system

    • 发布日期:2017-03-29
    • 文件大小:82047
    • 提供者:
  1. PLD-LOGIC_SPWM

    0下载:
  2. 电子设计竞赛中获二等奖,在FPGA中实现的两路自然采样SPWM,原理图输入法设计,1024*八位正弦查找表,带FSK和ASK调制功能,频率范围8KHz~12KHz.-Electronic Design Competition second prize in the FPGA to achieve the two natural sampling SPWM, schematic design input, 1024* eight sine look-up table, with FSK and A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2628003
    • 提供者:zlz
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
« 1 2 3 45 6 »
搜珍网 www.dssz.com